首页 理论教育 CMP关键技术的展望与分析

CMP关键技术的展望与分析

时间:2023-06-16 理论教育 版权反馈
【摘要】:面对450 mm工艺线要求,450 mm CMP修整器相对于300 mm CMP尺寸要大。这不只是为了减小CMP设备的平面尺寸的要求,主要驱动力是薄膜厚度实时控制要求。这同样对CMP关键环节——各类抛光剂的研发提出了更高的要求。目前可喜的情况是抛光剂研发是不依赖于450 mm CMP设备而提前进行了研发,并已产生实际的成果。

CMP关键技术的展望与分析

1.抛光剂

450 mm CMP工艺中,新型抛光剂主要集中在HKMG、FinFET CMP工艺应用上。新型抛光剂的目前研究成果是:抛光剂的化学去除作用效果比机械去除作用效果要大,以减小机械作用造成的缺陷。抛光剂中研磨剂的材料基本采用氧化铈材料替代传统硅石磨料。450 mm工艺中,晶体管立体栅极堆栈工艺和新材料的引入,使晶体管制造更为复杂,控制要求越来越高,所以抛光剂对新型材料的选择性决定了平坦化工艺缺陷降低的成败。

2.抛光垫

抛光垫技术进步相对于抛光剂要缓慢。进入21世纪后,抛光垫技术进步主要集中在提高工艺能力、降低工艺缺陷方面。450 mm工艺中,所需的抛光垫直径达到1067 mm(42英寸)以上。抛光垫修整模式及抛光垫表面形貌对平坦化的质量影响研究正在深入。另一方面,在保证平坦化质量的前提下,研究抛光垫表面形貌,为抛光液最大应用效能研究提供支撑。

美国3M公司占据了CMP修整器的主要市场。抛光垫修整器用于抛光垫形貌修整,修整器的研究集中在修整器尺寸、金刚石颗粒粒度、金刚石颗粒密度、排列方式、黏接方式等方面的研究。面对450 mm工艺线要求,450 mm CMP修整器相对于300 mm CMP尺寸要大。金刚石颗粒的黏接方式是主要研究内容,以至于保证修整器寿命的同时,不产生金刚石颗粒的脱落,造成对晶圆的划伤。(www.xing528.com)

3.CMP设备

目前CMP设备两大厂商AMAT(美国应用材料)及Ebara(日本荏原)占据着300 mm晶圆的90%以上的市场,这两家设备制造商也正在开发450 mm晶圆的CMP设备。

对于CMP设备而言,传统趋势还是主要针对STI(浅沟道隔离)、ILD(层间介质)、Tungsten(钨),Copper(铜)应用,同时,300 mm与450 mm的CMP设备也要针对器件的HKMG(高k金属栅)及FinFET结构。由于HKMG及FinFET结构的薄膜厚度向10 nm以下厚度方向发展,对CMP设备精度及控制提出了更高的要求。在300 mm CMP所有工艺方案中,以AMAT(应用材料)的三步工艺(三台抛光)占据主流,到450 mm CMP工艺方案中,有可能回归到二步工艺方案。这不只是为了减小CMP设备的平面尺寸的要求,主要驱动力是薄膜厚度实时控制要求。这同样对CMP关键环节——各类抛光剂的研发提出了更高的要求。目前可喜的情况是抛光剂研发是不依赖于450 mm CMP设备而提前进行了研发,并已产生实际的成果。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈