首页 理论教育 仿真,也称为模拟(Simulation

仿真,也称为模拟(Simulation

时间:2023-06-29 理论教育 版权反馈
【摘要】:仿真,也称为模拟,是对电路设计的一种间接的检测方法,根据仿真时是否包含延时信息可分为功能仿真和时序仿真。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。图2-18 设置好全加器输入节点a、b、cin波形的界面6.功能仿真功能仿真没有延时信息,仅对所设计的电路进行逻辑功能验证。图2-20 1位全加器的功能仿真波形

仿真,也称为模拟(Simulation

仿真,也称为模拟(Simulation),是对电路设计的一种间接的检测方法,根据仿真时是否包含延时信息可分为功能仿真和时序仿真。对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。仿真一般需要经过建立波形文件、输入信号节点、设置波形参量、编辑输入信号、波形文件存盘、运行仿真器和分析仿真波形等过程。

1.建立一个仿真波形文件

在Quartus Ⅱ主窗口,执行“File”菜单下的“New”命令,弹出如图2-12所示对话框,选择“Other Files”中的“Vector Waveform File”,单击“OK”按钮,则打开一个空的波形编辑器窗口,如图2-13所示。

978-7-111-41567-1-Chapter02-12.jpg

图2-12 建立仿真波形新文件窗口

978-7-111-41567-1-Chapter02-13.jpg

图2-13 波形文件编辑界面

2.输入信号节点

在波形编辑方式下,执行“Edit”菜单下的“Insert Node or Bus…”命令,或在波形编辑窗口的“Name”栏中单击鼠标右键,在弹出的菜单中选择“Insert Node or Bus…”命令,即可弹出插入节点或总线(Insert Node or Bus…)对话框,如图2-14所示。在“Insert Node or Bus…”对话框中,首先单击“Node Finder…”按钮,弹出如图2-15所示的节点发现者(Node Finder)对话框,在对话框的“Filter”栏目中选择“Pins:all”后,再单击“List”按钮,这时在窗口左边的“Nodes Found:”框中将列出该设计项目的全部信号节点。若在仿真中需要观察全部信号的波形,则单击窗口中间的“>>”按钮;若在仿真中只需观察部分信号的波形,则首先用鼠标单击信号名,然后单击窗口中间的“>”按钮,选中的信号即进入到窗口右边的“Selected Nodes:”(被选择的节点)框中,如果需要删除“Selected Nodes:”框中的节点信号,也可以用鼠标将其选中,然后单击窗口中间的“<”按钮。节点信号选择完毕后,单击“OK”按钮即可。

978-7-111-41567-1-Chapter02-14.jpg

图2-14 插入信号节点对话框

978-7-111-41567-1-Chapter02-15.jpg

图2-15 节点发现者对话框

3.设置波形参量

QuartusII波形编辑器默认的仿真结束时间是1μs,如果需要更长时间观察仿真结果,可执行“Edit”命令菜单中的“End Time…”选项,在弹出如图2-16所示的“EndTime”对话框中,设置仿真文件的时间长度。选择“Edit”命令菜单中的“GridSize…”选项,可以设置仿真波形编辑器中栅格的大小。注意,栅格的时间必须小于仿真文件的时间长度。

978-7-111-41567-1-Chapter02-16.jpg

图2-16 设置仿真时间域对话框(www.xing528.com)

4.编辑输入节点波形

对于任意信号波形的输入方法是:在波形编辑区中,单击鼠标左键并拖动需要编辑的区域,然后直接单击快捷工具栏上相应按钮,完成输入波形的编辑。快捷工具栏各按钮的功能如图2-17所示。

978-7-111-41567-1-Chapter02-17.jpg

图2-17 波形编辑器快捷工具栏按钮的功能

对于周期性信号(如时钟信号)的输入方法是:在输入信号节点上单击鼠标右键,从弹出的右键菜单中选择“Value|Clock…”命令,则弹出时钟设置对话框,直接输入时钟周期、相位以及占空比即可。

5.波形文件存盘

设置好1位全加器输入节点a、b、cin的波形后,如图2-18所示。执行“File”选项的“Save”命令,在弹出的“SaveAs”对话框中直接单击“OK”键即可完成波形文件的存盘。在波形文件存盘操作中,系统自动将波形文件名设置与设计文件名同名,但文件类型是.vwf。例如,1位全加器设计电路的波形文件名为“adder.vwf”。

978-7-111-41567-1-Chapter02-18.jpg

图2-18 设置好全加器输入节点a、b、cin波形的界面

6.功能仿真

功能仿真没有延时信息,仅对所设计的电路进行逻辑功能验证。在仿真开始前,需选择主菜单“Processing”下的“Generate Functional Simulation Netlist”命令,产生功能仿真网表。然后执行主菜单“Tools”下的“Simulator Tool”命令,在弹出的对话框的选项“Simu-lation mode:”中,选择仿真类型为“Functional”,如图2-19所示。

978-7-111-41567-1-Chapter02-19.jpg

图2-19 设置仿真类型窗口

设置好功能仿真类型后,执行主菜单“Processing”中的“Start Simulation”命令,或单击“Simulator Tool”对话框左下方的按键选项“Start”进行仿真,仿真成功后,单击“Sim-ulator Tool”对话框右下方的按键选项“Report”,打开仿真波形窗口“Simulation Wave-forms”,1位全加器的功能仿真波形如图2-20所示,从波形图可以看出设计电路的逻辑功能是正确的,功能仿真没有时间延迟。

978-7-111-41567-1-Chapter02-20.jpg

图2-20 1位全加器的功能仿真波形

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈