首页 理论教育 IEEE预定义标准逻辑位与矢量

IEEE预定义标准逻辑位与矢量

时间:2023-06-29 理论教育 版权反馈
【摘要】:在IEEE库的程序包STD_LOGIC_1164中,定义了两个非常重要的数据类型,即标准逻辑位STD_LOGIC和标准逻辑矢量STD_LOGIC_VECTOR。在程序中使用此数据类型前,需加入下面的语句:注意:STDLOGIC数据类型中的数据是用大写字母定义的,使用中不能用小写字母来代替。STD_LOGIC_VECTOR数据类型的数据对象赋值的原则是:同位宽、同数据类型的矢量间才能进行赋值。描述总线信号,使用STD_LOGIC_VECTOR是很方便的,但需注意的是总线中的每一根信号都必须定义为同一种数据类型STD-LOGIC。

IEEE预定义标准逻辑位与矢量

在IEEE库的程序包STD_LOGIC_1164中,定义了两个非常重要的数据类型,即标准逻辑位STD_LOGIC和标准逻辑矢量STD_LOGIC_VECTOR。

(1)标准逻辑位STD_LOGIC数据类型

数据类型STD_LOGIC的定义如下:

各值的含义如下:

‘U’——未初始化;‘X’——强未知的;‘O’——强O;‘1’——强1;‘Z’——高阻态;‘W’——弱未知的;‘L’——弱O;‘H’——弱1;‘’——忽略(无关项)。

在程序中使用此数据类型前,需加入下面的语句:

注意:STDLOGIC数据类型中的数据是用大写字母定义的,使用中不能用小写字母来代替。(www.xing528.com)

(2)标准逻辑矢量(STD_LOGIC_VECTOR)数据类型

STD_LOGIC_VECTOR类型定义如下:

显然,STD_LOGIC_VECTOR是定义在STD_LOGIC_1164程序包中的标准一维数组,数组中的每一个元素的数据都是以上定义的标准逻辑位STD_LOGIC。

STD_LOGIC_VECTOR数据类型的数据对象赋值的原则是:同位宽、同数据类型的矢量间才能进行赋值。

描述总线信号,使用STD_LOGIC_VECTOR是很方便的,但需注意的是总线中的每一根信号都必须定义为同一种数据类型STD-LOGIC。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈