首页 理论教育 VIVADO环境下IP核应用研究:设计流程

VIVADO环境下IP核应用研究:设计流程

时间:2023-10-26 理论教育 版权反馈
【摘要】:与ISE相比,VIVADO在很多方面有着很大的不同。这里从设计流程角度先来回顾一下ISE的设计流程,如图1.1所示。接下来分析VIVADO的设计流程,如图1.2所示。图1.1ISE设计流程图1.2VIVADO设计流程此外,VIVADO的实现阶段由不同的子步骤构成:opt_design、place_design、phys_opt_design和route_design。同时,无论是综合还是实现,每个子步骤生成文件均为.dcp文件,这意味着VIVADO采用了统一的数据模型。默认情况下,VIVADO实现阶段opt_design、place_design和route_design是必然执行的,且每步会生成相应的.dcp文件,可用于进一步的分析。

VIVADO环境下IP核应用研究:设计流程

与ISE(Xilinx公司早期集成开发环境)相比,VIVADO在很多方面有着很大的不同。这里从设计流程角度先来回顾一下ISE的设计流程,如图1.1所示。

在这个流程中,输入的约束文件为UCF(用户设计文件),而且该文件是在Translate(翻译,对应NGDBuild)这一步才开始生效。换言之,综合后的时序报告没有多大的参考价值。此外,该流程的每一步都会生成不同的文件,例如综合后生成.ngc文件,Translate之后生成.ngd文件,MAP(映射)和Place & Route(布局布线)之后生成.ncd文件等,这说明每一步使用了不同的数据模型。

接下来分析VIVADO的设计流程,如图1.2所示。在这个流程中,输入的约束文件为.xdc文件,这个文件采用了业界标准的SDC(Synopsys design Constraints,设计约束文件),且在综合和实现阶段均有效。因此,综合后就要查看并分析设计时序,如果时序未收敛,不建议执行下一步。

图1.1 ISE设计流程(www.xing528.com)

图1.2 VIVADO设计流程

此外,VIVADO的实现阶段由不同的子步骤构成:opt_design(设计优化)、place_design(布局设计)、phys_opt_design(物理优化)和route_design(布线设计)。其中,place_design和route_design之后的phys_opt_design是可选的。同时,无论是综合还是实现,每个子步骤生成文件均为.dcp文件,这意味着VIVADO采用了统一的数据模型。

默认情况下,VIVADO实现阶段opt_design、place_design和route_design是必然执行的,且每步会生成相应的.dcp文件,可用于进一步的分析。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈