首页 理论教育 复杂器件的SEU缓解技术探析

复杂器件的SEU缓解技术探析

时间:2023-06-25 理论教育 版权反馈
【摘要】:为了检验加固措施的有效性,对具有SEU加固设计的8051微控制器,利用地面回旋加速器产生的重离子进行了测试验证。试验结果证明了这种针对8051微控制器设计的汉明码在SEU防护中具有明显作用。表6-7PLD MAX9000系列类8051微控制器的测试结果马克斯韦尔公司生产的大量抗SEU微处理器受抗辐射加固RAD-PAK专利技术保护。控制器上的存储器和PROM也已采用EDAC技术实施保护。

复杂器件的SEU缓解技术探析

(一)微处理器类器件的SEU缓解技术

我们知道,许多具有一定程度抗辐射性能的微处理器可以从市场上见到,如英特尔、IBM、摩托罗拉和Sun等公司生产的商用微处理器和我国自主生产的微处理器等。但这些具有一定耐辐射性能的微处理器都是由相关的航天工程项目公司和相关研究实验室联合设计制作的。实际上,每一种产品都提供不同等级的抗辐射性能,可适用于不同的空间和军事应用。如前面叙述可知,通常主要用于微处理器的防护技术仍然是基于工艺技术或封装屏蔽、三模容余、SEU加固存储单元、EDAC或它们的不同层次相互组合而实现的。

Lima等人提出了类8051微控制器(Intel,1994)的辐射容错版本,主要是测试和研究针对EDAC码的容错能力。在微控制器的VHDL硬件描述语言中加入抗SEU容错结构,代码在指令时序方面是完全兼容的英特尔8051微处理器。微处理器分为6个主要部分,主要是有限状态机、控制单元、指令单元、数据路径、RAM和ROM存储器。在所有寄存器和内存中应用可实现单粒子翻转纠正的汉明码,微处理器模块结构如图6-21所示。

图6-21 8051 SEU加固结构基本原理

相比上述几种存储器单元加固设计方法,这项技术具有一定的创新性,因为它不仅在内部存储模块中使用EDAC技术,而且在所有寄存器和单个存储单元中都使用EDAC技术。另外,在内部存储模块中有一个错误刷新的机制,叫作“scrubbing”,以避免单粒子翻转形成“积累”。图6-22和图6-23给出了EDAC技术汉明码实现的详细方案。

图6-22 微控制器内存单元的汉明码实现方案

图6-23 微控制器寄存器单元的汉明码实现方案

实际使用的SEU加固的8051微控制器如图6-24所示。加固后的硬件分为三个可编程逻辑器件,都是基于EEPROM,分别是一个带有208个引脚的EPM9560和两个带有84个引脚的EPM9400。为了检验加固措施的有效性,对具有SEU加固设计的8051微控制器,利用地面回旋加速器产生的重离子进行了测试验证。试验中对两个版本的8051微控制器进行了验证,它们分别是:无加固保护设计措施的标准8051微控制器版本和内部内存受汉明码保护的8051微控制器版本。测试试验证明,没有加固设计的8051微控制器在经受一段时间的辐照后出现了多个单粒子翻转,而加固设计的8051微控制器具有一定抗单粒子翻转能力。

图6-24 8051微控制器的测试系统

图6-25给出了每个测试周期内的单粒子翻转数,试验测试中离子通量率为700 particle/s。在相同试验条件下对内部存储受汉明码保护的8051进行了试验,试验过程中却没有观测到单粒子翻转。试验结果证明了这种针对8051微控制器设计的汉明码在SEU防护中具有明显作用。

图6-25 “无保护”8051的单粒子翻转测试结果

表6-7给出了PLD MAX9000系列8051微控制器的测试结果。表中所示的触发器数量是指控制单元、有限状态机和数据路径的内部寄存器。内部内存是在PLD之外实现的,8051的全保护版本不适合PLD系列,因为MAX9000系列的CLB数量减少了。因此,在测试中只实现了数据路径的部分保护。在部分受保护的数据路径中,只有累加器程序计数器寄存器受汉明码的保护。

对于汉明码的纠错效率,Lima等人利用故障注入的方法进行了验证。试验结果表明,当发生单粒子翻转时,汉明码完全能够进行纠错处理,不会发生错误传播。但是,这种技术在防护多位翻转(MBU)时并不适用,Lima等人在试验中将MBU注入具有抗SEU能力的8051控制器,试验中没有得到预期的结果。

表6-7 PLD MAX9000系列类8051微控制器的测试结果

马克斯韦尔(Maxwell)公司生产的大量抗SEU微处理器受抗辐射加固RAD-PAK专利技术保护。例如Intel386、486和奔腾,以及Sun公司的SPARC,还有摩托罗拉生产的微处理器PowerPC,其CPU由TMR模块保护,内存由EDAC模块保护。TMR逐位比较3个CPU的输出,当发生单粒子翻转时,通过表决检测和分析输出正确的值。

霍尼韦尔(Honeywell,2003)公司也提供基于设备冗余和EDAC技术的容错微处理器。如具有抗辐射性能的PowerPC 603,其中数据和程序内存由SEC-DED汉明码保护,冗余应用于内部寄存器。Aitech国防系统公司(Aitech,2001)也提供了一种由EDAC保护的耐辐射PowerPC 750。

洛克希德·马丁公司已经为喷气推进实验室(JPL)开发了一种抗SEU的PowerPC处理器(G3)(JPL实验室,2001)。它提供了一个模块化的标准产品,允许航天器开发人员在系统配置上具有多样的选择性和良好的灵活性。PowerPC 750(G3)中有超过800 000个存储元件,所有这些都被RAD750中的SEU加固电路所取代。早期的RAD6000采用了电阻去耦存储单元,在制造过程中需要特殊的多晶硅电阻。RAD750的电池和插销都是使用加固设计的,不需要特殊工艺步骤和优化的电路技术,也就是如图6-13所示的加固存储单元。控制器上的存储器和PROM也已采用EDAC技术实施保护。

阿特梅尔(Atmel)公司为军事和太空应用提供了8位耐辐射微控制器80C32E、DSP微处理器和SPARC微处理器(Atmel,2001年)。Atmel的抗辐射DSP微处理器使用HIT加固单元(Velazco等,1994)(见图6-8),以保护存储单元免受单粒子翻转的影响。Atmel SPARC微处理器设计了EDAC进行保护。Atmel在静态RAM设计中,采用将代表不同数据字位的单元分开以提高抗单粒子翻转能力。设计的此种功能实际上消除了单次位翻转可能引起双比特位翻转(MBU)的风险,而仅留下单个比特翻转(SEU),可以通过SEC汉明码进行纠正。与EDAC保护的解决方案相关的其他处理是校验位RAM的初始化和在受保护的内存上执行读写操作的刷新过程,也称为清理。校验位RAM的初始化不会产生开销,因为大多数航天应用程序在复位时都会将其代码从ROM移到RAM中,并同时自动初始化校验位RAM。在处理器空闲时间执行的清理对于消除两个单独的影响在同一数据字中产生双比特翻转(MBU)的风险是必要的。但是,如果同一数据字中发生双比特翻转,则仍将由EDAC、SEC-DED汉明码检测并发出信号。

Gaisler等人于2002年提出了一种容错处理器:基于SPARC V8架构的Spacelite。该处理器技术旨在检测和容忍任何片上寄存器中的一个错误,以及在任何片上存储器结构(高速缓存和标签)中的两个相邻位中进行一个错误校正和双重错误检测。Spacelite处理器中实现SEU容错的方法是将所有寄存器分为两组:主成分和冗余备份。主成分寄存器是用于存储信息的寄存器,该信息在系统的任何其他位置(处理器或内存)都不存在,并且这些寄存器中的错误会导致出现系统故障。冗余寄存器定义为包含在系统中其他位置复制的信息的寄存器,并且可以通过重新加载寄存器或执行其他恢复操作来重新创建。冗余寄存器中的错误一定不能改变系统的状态或操作,否则会在其内部有错误的时候造成系统故障。寄存器为了实现抗单粒子翻转,通过复制或使用纠错码将所有主寄存器设计为可容错的。冗余寄存器仅需提供错误检测功能。

单个可容错寄存器是通过TMR实现的,即采用三个并行的寄存器和一个由表决器选择多数结果的寄存器来实现。这种方案的好处在于,错误屏蔽和错误消除是隐式的,并且在发生SEU时,不会在输出端产生扰动。这个设计是采用寄存器单元提供了32位单个错误校正(SEC)和双错误检测(DED)的EDAC,而不是采用TMR单元,这种设计将会很大程度地减少开销,通过奇偶校验生成和检查来检测冗余寄存器中的错误。高速缓存由两个奇偶校验位保护,一个奇数位,一个偶数位,该方案使得有可能在两个相邻位中检测到双重错误。如果发生EDAC错误,则在指令到达写入阶段后,将校正后的寄存器值写回到寄存器文件中,然后重新启动指令。高速缓存存储器(指令或数据)中的错误将自动导致高速缓存未被选中,并且将使用来自主存储器的正确数据来更新高速缓存。

另外,Rebaudengo等人讨论了软件实现的容错(SIFT),以保护微处理器免受时序逻辑(SEU)和组合逻辑(SET)的干扰;已经进行了故障注入试验阶段,以评估SIFT技术检测处理器内部存储器元件及其组合逻辑中的瞬态故障的能力。该策略的独创性取决于基于一组简单的转换规则的事实,该规则可以在任何高级代码语言上实现。这减少了工艺设计制造上的加固处理成本。SIFT系统实现的8051微控制器在辐射条件下进行了测试。结果表明,SIFT能够检测出处理器中观察到的88.2%的单粒子翻转现象。但是,软件容错方法的不足之处是,随着程序代码的增加,此技术需要额外的内存开销。

(二)FPGA的SEU缓解技术

现场可编程门阵列(FPGA)器件在航天器电子设计人员中越来越受欢迎。这些器件具有固有的灵活性,可以满足多种要求,并具有显著的成本和速度优势。由于FPGA是可重新编程的,因此可以在发射后发送代码程序以纠正错误或提高航天器的性能。

可编程逻辑组件的体系结构基于逻辑块阵列,可以通过互连编程以实现不同的设计。FPGA逻辑模块可以像一个小型逻辑门一样简单,也可以像由许多门组成的集群一样复杂。当前商用FPGA的逻辑块由一对或多对晶体管,小型门电路,多路复用器,查找表和与-或结构组成。路由架构包含各种长度的构成部分,可以通过电可编程开关将它们互连。目前有几种不同的编程技术用于实现可编程开关。当前使用此类可编程开关技术的可编程器件主要有三类:

● SRAM,其中可编程开关是通过由SRAM位的状态控制的导通晶体管实现,也就是基于SRAM的FPGA。

● 反熔丝,电可编程开关在两个金属层之间形成低电阻路径,也就是基于反熔丝的FPGA。

● EPROM、EEPROM或Flash单元,其中的开关是浮栅晶体管,可以通过将电荷注入栅极上来关闭栅极晶体管。这些可编程逻辑电路称为EPLD或EEPLD。

SRAM型FPGA是易失性的,这意味着基于SRAM的FPGA可以在工作现场根据需要进行多次重新编程。反熔丝定制是非易失性的,它们只能编程一次。每类FPGA具有特定的架构。Xilinx和Actel等可编程逻辑器件生产公司提供抗辐射的FPGA系列器件。每个公司使用不同的缓解技术,以更好地考虑架构特征。一些面向空间应用市场的公司获得了开发容错FPGA的许可,例如获得QuickLogic许可的Aeroflex UTMC和获得Atmel许可的Honeywell。Actel和Xilinx是当今占据空间FPGA市场的主要商业FPGA公司,例如军事和航空航天中的可编程设备和技术(MAPLD)、核与空间辐射效应(NSREC)、组件和系统的辐射效应(RADECS)以及现场可编程门阵列专题讨论会(FPGA)等国际会议上都能看到这两个公司的FPGA产品。

由于FPGA内部有大量的存储元件,因此可编程逻辑器件对SEU非常敏感。必须对可编程逻辑器件进行严格保护,以避免在空间环境中出现运行错误。减缓可编程逻辑器件的辐射影响方法主要有两种:高级语言描述或体系结构设计。每种方法都有不同的实现成本,且适用于不同应用场合。例如,通过反熔丝拓扑编程的FPGA更像标准单元ASIC,因为定制单元(反熔丝)不容易受到辐射的影响。因此,可以轻松地将EDAC等ASIC中使用的技术应用于高级语言描述。在架构体系设计方面,用加固的存储单元很容易实现所有触发器的替换。但是,FPGA的SEU缓解技术并不是很容易实现。例如,对于SRAM可定制的FPGA,应用高级SEU缓解技术并不是很简单,因为所有设计模块都对辐射敏感。由于FPGA矩阵的复杂性,在应用架构设计技术时也会发生同样的情况。

1.反熔丝型FPGA

反熔丝型FPGA中的SEU问题,更具体而言,是基于Actel的体系结构已进行过研究讨论。之前,Actel开始提供由称为SX的反熔丝编程的SEU容错FPGA系列(Actel,2000年),该系列架构被称为“sea-of-modules”架构,因为该器件的整个内部都覆盖有逻辑模块网格,几乎没有芯片面积浪费在互连元件或布线上。Actel的SX系列在后来的几年中得到了改进。第一个版本提供了两种逻辑模块,与标准Actel系列相同:寄存器单元(R单元)和组合单元(C单元),如图6-26所示。

这些逻辑模块之间的互连是使用金属对金属可编程反熔丝互连元件实现的(这项技术已申请专利),元件嵌入在第二层金属和第三层金属之间。这些反熔丝通常是开路的,并且在编程时会形成永久的低阻抗连接。在最早期的抗SEU的FPGA系列器件中,提出了三种可用于实现时序逻辑单元避免发生SEU的技术:CC、TMR或TMR_CC。CC技术使用具有反馈功能的组合存储单元,而不是触发器或锁存器来实现存储单元。例如,将使用由两个组合模块组成的DFP1代替DF1。此技术可以避免使用大于0.23 μm的CMOS工艺技术中的SEU,但不能避免下一代工艺技术中组合逻辑的SEU影响。TMR是一种寄存器实现技术,其中每个寄存器都由三个“投票器”以确定寄存器状态的触发器或锁存器实现。TMR_CC也是一种三模块冗余技术,其中每个表决寄存器由具有反馈(而不是触发器或锁存器原语)的组合单元组成。CC触发器(CC-FF)产生的设计具有更高的抵抗力,与使用标准触发器(S-FF)的设计相比,SEU效果更好。CC-FF通常要比使用标准触发器(S-FF)的区域面积资源多两倍。三重表决或三重模块冗余(TMR)产生的设计最能有效抵御SEU的影响。三重表决使用三个触发器而不是单个触发器,这形成了多票表决电路。这样,如果一个触发器被辐照转换到错误状态,其他两个触发器将会覆盖它,并将正确的值传播到电路的其余部分。由于考虑到成本等因素(S-FF实现所需的面积的3~4倍和延迟的两倍),通常使用S-FF实现三重投票。当前,Actel提供RTFXS和RTAXS FPGA系列(耐辐射的FX或AX架构“宇航器件”版本),这些器件使用金属对金属反熔丝连接进行配置,并且在所有寄存器中都包含内置的TMR。这些新的经过SEU加固的结构消除了HDL中实现的TMR触发器设计的需求,这些FPGA使用图6-27中提出的D型触发器。三个D型触发器与时钟和数据输入并联连接。MUX实现了一个表决器(或多数电路),以创建“加固的”输出。两个触发器A和B的输出进入选择表决器MUX。如果A和B都读取逻辑“0”,则选择MUX输入D0。由于它连接到GND,因此MUX的输出将读取逻辑“0”。同样,如果A和B读取逻辑“1”,则MUX的输出将读取逻辑“1”。如果A和B由于SEU(或出于其他原因)不一致,则MUX将选择触发器C。C要么与A的结果一致,要么与B的结果一致,这样MUX“投票”产生与A、B一致的数据。

图6-26 Actel FPGA的体系架构(www.xing528.com)

(a)组合单元和寄存器单元;(b)组合单元细节;(c)寄存器单元

图6-27 抗辐射FX或AX架构的Actel FPGA(内建TMR模块的存储单元)

2.SRAM型FPGA

SEU在基于SRAM的FPGA中具有独特的辐射效应。由于这类FPGA的所有模块(逻辑、定制路由)都容易受到单粒子翻转影响,因此,高级语言代码技术应用于这种类型的FPGA时并不是很简单。许多解决方案建议使用加固的存储单元和创新的路由结构实现SRAM型FPGA的SEU缓解。由于SRAM型FPGA在逻辑块、定制模块以及路由模块上的固有缺陷,在使用高级语言技术缓解SEU时,必须使用硬件冗余以确保可靠性

(1)基于高级语言的SEU缓解技术。

Xilins有Virtex®军用系列FPGA,该系列也被用于空间系统。Xilinx提供了许多用于太空应用的FPGA系列,称为QPro,它为航空航天和国防客户提供了商用的现成系统级解决方案。从Virtex®QPro系列开始,使用商用掩膜组和Xilinx 5层金属0.22 μm CMOS工艺在薄外延硅晶片上制造,使得其能够对单粒子锁定免疫[LETth>120 MeV·cm2/mg,TID=100 krad(Si)]。目前,Xilinx的Virtex®IIQPro系列在空间使用中很流行,它具有与常规Virtex®IIPro相同的功能(集成PowerPC内核,集成SERDES等)。此外,Xilinx提出了一种高级技术来缓解SRAM型FPGA中的SEU:在高级设计语言描述中将TMR方法与重新配置(清理)结合起来,以避免单粒子翻转累积。

2002年,Alderighi等人针对基于Xilinx FPGA的多级设计提出了一种用于未来科学太空任务的多传感器系统的互连网络(MIN),它具有良好的并发故障诊断和故障检测功能。所采用的容错策略是基于网络配置和FPGA重新配置。控制单元允许更改实际的配置,而网络控制单元设置新的排列。当检测到故障时,有限状态机将触发并在LUT中将实际配置标记为有故障的故障。状态机依次进入活动状态并搜索配置LUT的存储配置中可用的等效配置。找到这样的配置后,将其用于解决单粒子翻转故障。为了检测故障,每个控制单元使用奇偶校验器。奇偶校验实际上是可以为控制单元而定义的唯一不变的属性。奇偶校验器具有自我检查功能,因此它可以报告与故障集相关的故障条件。这种方法的局限性在于通过重新配置网络只能恢复很少类型的故障。FPGA的定制路由中所有具有永久性的故障只能通过FPGA重新配置(清理)来纠正。研究结果表明,通过该方法只能恢复注入的单粒子翻转的10%,这很可能是LUT的故障。大多数故障可通过简单的擦除即可回收。

(2)基于架构体系设计的SEU缓解技术。

Mavis等人于1998年提出了基于四种技术组合的可用于太空和军事应用的FPGA。这四种技术分别是:①辐射加固的非易失性SONOS(硅氧化氮氧化物半导体)EEPROM晶体管;②独特的SEU免疫存储电路,既适用于非易失性SONOS,也适用于易失性SRAM;③高性能、抗辐射、0.8 μm的三级金属CMOS技术;④专门开发的新FPGA架构以适应良好的防辐射电路设计规范。它的抗电离总剂量最高可达200 krad(Si),LET值大于100 MeV·cm2/mg。SONOS晶体管与常规NMOS晶体管的不同之处在于SONOS晶体管具有可变的阈值电压,而NMOS晶体管具有固定的阈值电压。在擦除SONOS晶体管(将其编程为负阈值电压)时,需要从栅极向P阱施加一个较大的(10 V)负电压。这导致在氮氧化物栅极电介质层中产生双空穴隧道,导致正电荷存储产生耗尽型器件。将数据存储在晶体管中时(将其编程为正阈值电压),需要从栅极向P阱施加一个较大的(10 V)正电压,这导致电子隧穿到栅极电介质中,并产生负存储电荷。SRAM型FPGA中,易失性配置存储使用DICE(双互锁存储单元)锁存器提供的电路来完成。该芯片的编程方式与SONOS版本基本相同,使用移位寄存器串行加载行数据,并进行列解码以选择要写入的列。

Actel于1999年设计了SRAM型FPGA的原型架构,标准的SRAM存储单元被电阻去耦存储单元所代替,其效率取决于电阻值;如果只有一个节点被击中,DICE和DICE存储器的SEU免疫能力约在0.25 μm工艺。图6-6给出了电阻去耦存储单元,图6-11给出了DICE单元。因为在反馈路径中插入了电阻以消除带电粒子激发的瞬态脉冲,因此去耦电阻存储单元能够避免单粒子翻转。DICE单元可以避免单粒子翻转,因为它将数据存储在两个不同的部分中,如果一个部分损坏了,则另一部分将通过单元构造隔离。但是,研究结论表明,如果不注意结构布局,则多位翻转将会限制这两种解决方案的广泛性。关于翻转率,冗余加固(DICE存储器)的翻转率比电阻器解决方案低两个数量级。去耦电阻解决方案的缺点是温度工作范围、灵敏度和延迟增加。DICE在面积开销上也有缺点。与标准存储单元中的6个晶体管相比,它具有12个晶体管。对于0.18 μm,两种解决方案的有效性都将受到限制,并且在电路级中将需要更精巧的设计。

Atmel于2001年还发布了基于SOI工艺流程的SRAM型FPGA(AT6010)。其对原始逻辑块未进行逻辑修改,仅限于使用SOI工艺流程以提高抗SEU的可靠性。研究结果表明,仅使用SOI技术不能保证针对SEU的完全保护。因此,Atmel的这种解决方案并不完全适合太空环境。

Kumar于2003年提出了一种基于人体免疫系统的新型SRAM型FPGA。该体系结构采用了分布式网络,没有任何集中控制。错误(抗原)检测基于B单元的操作原理。一旦在功能单元中检测到错误,预定的备用单元将通过克隆其行为来替换功能单元。这种重新配置技术减少了系统中的冗余。功能单元由一个10位控制寄存器,一个1位错误寄存器和一个逻辑块组成。

控制寄存器的内容可以视为遗传密码。通过确保生成的输出是互补的,可以在功能单元中模拟B单元识别错误的过程。如果输出相同,即存在错误,则结果被强制为高阻抗。通过在出现错误的情况下将功能单元的输出强制为“00”或“11”,可以模拟B单元的作用。一旦检测到错误,该单元中的错误寄存器将被设置为“1”,并且该功能单元的所有输入信息将被加载到相应的单元中。

在路由单元中也会发生同样的情况,该路由单元也具有一个控制寄存器来检测故障的存在。

(3)恢复技术。

在单粒子效应防护设计中,针对基于SRAM型FPGA提出了许多容错方法,这些方法都与重新布线和备用配置有关,以避免所用CLB出现单粒子翻转。在不使用任何冗余的情况下通过运行时重新配置来纠正单粒子翻转时,面临的第一个问题是在矩阵中查找故障的方法。Mitra等人提出了一种使用伪穷举BIST的方法来检测矩阵中的单粒子翻转现象。该技术还有一个额外的优势,即在进行故障定位时,不必使整个系统停机。关键的问题是检测故障的持续时间,因为有一些应用中,不能长时间等待系统的恢复。

基于重配置和重新路由的故障恢复的示例很多,如Lach等人提出将物理设计划分为一组图块。这种方法的关键要素是将FPGA部分重新配置为备用配置以响应故障。如果新配置实现了与原始配置相同的功能,则在避免出现硬件块故障的同时,可以重新启动系统。这其中的关键步骤是有效地识别备用配置并快速运行故障检测。2000年,Xu等人提出了与路由过程相关的容错方法,讨论了关于单粒子翻转的缓解效果。但是在这种情况下,故障将在比特流的下一个加载(重新配置)中得到纠正,并且在搜索新的备用配置或路由时无须进行任何工作。因此,这种方法仅当由于总电离剂量(例如栅极破裂、金属线短路或断路)而在基质中存在真正的永久性断层时,才可以使用。

2001年,Yu和Mccluskey等人提出了一种永久性故障修复的解决方案,可以通过重新配置芯片来修复有故障的模块,以使设计时不再使用损坏的可配置逻辑块(CLB)或路由资源。目前,已经提出了许多通过重新配置的技术来排除FPGA的永久性故障,一种方法是在计算系统中检测到永久性故障后生成新配置;另一种方法是生成预编译的可替代FPGA配置,并将配置位图存储在非易失性存储器中。这样,当出现永久性故障时,可以选择新配置而不会延迟重新路由和重新映射。设计中提出了一些等效的设计候选方案,可以在出现永久性故障的情况下替代原始的TMR设计,如图6-28所示。

图6-28 TMR改进设计

(a)原始TMR设计;(b)TMR-CED混合设计;
(c)带有自检模块的复用系统;(d)带有两个CED模块的复用系统

对于系统的瞬态故障,建议使用传统的瞬态错误恢复技术。典型示例包括前滚和回滚恢复技术。基本上,这些方法是在系统级别设计的,因此通常可以恢复专用集成电路(ASIC)和FPGA系统的故障。但是,因为在SRAM型FPGA中,逻辑不仅会受到单粒子翻转的影响,还会受到路由的影响,这会使执行前滚和后滚技术的路径无效。

2001年,Huang和Mccluskey等人还讨论了部分重配置的方法,以通过检测和纠正片上配置数据中的错误来提高可靠性,但是也提出了另一个问题:部分重配置期间的存储一致性。因为LUT还可以为用户应用程序实现存储功能,所以就会出现存储一致性的问题,使得在线配置数据恢复过程可能更改用户应用程序中的存储内容。通过对存储器一致性问题的研究,提出了一种存储器一致性技术,这种技术不会对存储器配置的LUT增加任何额外的约束。理论分析和仿真结果表明,该技术可以在用户应用程序中以很小的执行时间开销(约0.1%)保证内存一致性。这项技术可以进一步与FPGA擦除操作合并使用,从而也可以避免嵌入式存储器中的SEU。

总之,在单粒子翻转防护设计中,已经提出了许多基于恢复技术,架构设计和高级设计的SRAM型FPGA容错技术。这些方法中的大多数与高级设计方法和恢复过程有关的技术都没有考虑到SRAM型FPGA中SEU的所有细节和影响,同时也没有考虑到永久性故障和单粒子翻转之间的差异。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈